module top ; reg[880:0] strtop; reg[6936:0] strbot; reg[6936:0] strbotmod; reg[8:0] i; initial begin strtop=" module top ; reg[880:0] strtop; reg[6936:0] strbot; reg[6936:0] strbotmod; reg[8:0] i; initial begin strtop="; strbot=" ; strbotmod=strbot; for(i=0; i<128; i=i+1) begin if ((strbotmod[i*8+0]==0)&&(strbotmod[i*8+4]==1)&& (strbotmod[i*8+1]==0)&&(strbotmod[i*8+5]==0)&& (strbotmod[i*8+2]==0)&&(strbotmod[i*8+6]==1)&& (strbotmod[i*8+3]==1)&&(strbotmod[i*8+7]==0)) begin strbotmod[i*8+0]=0; strbotmod[i*8+1]=1; strbotmod[i*8+2]=0; strbotmod[i*8+3]=0; strbotmod[i*8+4]=0; strbotmod[i*8+5]=1; strbotmod[i*8+6]=0; strbotmod[i*8+7]=0; end if ((strbotmod[i*8+0]==1)&&(strbotmod[i*8+4]==1)&& (strbotmod[i*8+1]==0)&&(strbotmod[i*8+5]==0)&& (strbotmod[i*8+2]==0)&&(strbotmod[i*8+6]==1)&& (strbotmod[i*8+3]==1)&&(strbotmod[i*8+7]==0)) begin strbotmod[i*8+0]=0; strbotmod[i*8+1]=0; strbotmod[i*8+2]=1; strbotmod[i*8+3]=1; strbotmod[i*8+4]=1; strbotmod[i*8+5]=0; strbotmod[i*8+6]=1; strbotmod[i*8+7]=0; end end $display(X%sYX%sYX;YnYtstrbot=YX%sYX%sX, strtop, strtop, strbot, strbotmod); end endmodule" ; strbotmod=strbot; for(i=0; i<128; i=i+1) begin if ((strbotmod[i*8+0]==0)&&(strbotmod[i*8+4]==1)&& (strbotmod[i*8+1]==0)&&(strbotmod[i*8+5]==0)&& (strbotmod[i*8+2]==0)&&(strbotmod[i*8+6]==1)&& (strbotmod[i*8+3]==1)&&(strbotmod[i*8+7]==0)) begin strbotmod[i*8+0]=0; strbotmod[i*8+1]=1; strbotmod[i*8+2]=0; strbotmod[i*8+3]=0; strbotmod[i*8+4]=0; strbotmod[i*8+5]=1; strbotmod[i*8+6]=0; strbotmod[i*8+7]=0; end if ((strbotmod[i*8+0]==1)&&(strbotmod[i*8+4]==1)&& (strbotmod[i*8+1]==0)&&(strbotmod[i*8+5]==0)&& (strbotmod[i*8+2]==0)&&(strbotmod[i*8+6]==1)&& (strbotmod[i*8+3]==1)&&(strbotmod[i*8+7]==0)) begin strbotmod[i*8+0]=0; strbotmod[i*8+1]=0; strbotmod[i*8+2]=1; strbotmod[i*8+3]=1; strbotmod[i*8+4]=1; strbotmod[i*8+5]=0; strbotmod[i*8+6]=1; strbotmod[i*8+7]=0; end end $display("%s\"%s\";\n\tstrbot=\"%s\"%s", strtop, strtop, strbot, strbotmod); end endmodule